CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Priority Encoder

搜索资源列表

  1. yxj4

    0下载:
  2. 最高优先级编码器最高优先级编最高优先级编码器码器-Highest priority encoder
  3. 所属分类:Document

    • 发布日期:2017-04-09
    • 文件大小:15220
    • 提供者:王明
  1. robotic_arm

    0下载:
  2. An effort has been made to design a robot, which loads and unloads an object to the station depending on the request. The sensor connected to the robot will sense the request and initiate the correct sequence of operation. The robot under design has
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:26397
    • 提供者:joja
  1. arm_move

    0下载:
  2. An effort has been made to design a robot, which loads and unloads an object to the station depending on the request. The sensor connected to the robot will sense the request and initiate the correct sequence of operation. The robot under design has
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:26337
    • 提供者:joja
  1. 74LS148

    0下载:
  2. 74LS148中文资料 很好的优先编码器-74LS148 Chinese data good priority encoder
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:95824
    • 提供者:larryjiang
  1. f

    0下载:
  2. 一个BCD的优先编码器电路,输入为10个开关的状态,要求输出开 关对应的编码。输出编码用4位表示,第一个开关为0时,输出为0000时,第二个开关为0时,输出为0001时,...... 第10个开关为0时,输出为1001。第10个开关的优先级最高。当没有按键按下时,输出信号E为1。有按键按下时,输出信号E为0。 -A BCD priority encoder circuit, the input switches for the 10 state code requirements of
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:163082
    • 提供者:winny
  1. qdq

    0下载:
  2. 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。-Digital Responder extended from the main circuit and the circuit. Priority encoder circuit, latch, decoder
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:240950
    • 提供者:廖生
  1. priorityencoder

    0下载:
  2. priority encoder program coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2698
    • 提供者:mandava
  1. convert-.m-to-mdl-file

    0下载:
  2. priority encoder using verilog size is 20kb
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:11091
    • 提供者:Baskar
  1. VHDL

    0下载:
  2. EDA技术以EDA软件工具为开发环境,以可编程逻辑器件为实验载体,实现源代码编程和仿真功能。VHDL作为一种标准化的硬件描述语言用于描述数字系统的结构、行为、功能和接口。本设计提出了一种基于VHDL语言的编码器和译码器的实现方法。编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由8线-3线优先编码器作为实例代表,译码器则包含3线-8线译码器和2线-4线译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:797281
    • 提供者:pear
  1. Encoder4_2

    0下载:
  2. Encoder4_2,带优先级的编码器 此实验完成但优先级的4-2编码,以拨动开关SW[3..0]作为输入源(开关上拨时输入为高电平),其中SW[3]的优先级高于SW[2]的优先级,SW[2]的优先级高于SW[1]的优先级,以此类推。编码的结果会以LED灯的形式显示。例如,当SW[2]上拨而SW[3]没有上拨时,LED[1..0]的显示结果将是“10”。-Encoder4_2, with a priority encoder to complete this experiment, but
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:214304
    • 提供者:王晨
  1. exp1.2_priencoder8_3

    0下载:
  2. 用VHDL及verylog语言设计一个8_3优先编码器,可以在Quartus II中仿真-Language Design with VHDL and verylog a 8_3 priority encoder, the Quartus II simulation in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:278945
    • 提供者:davidye
  1. pri_encoder_using_if.v

    0下载:
  2. this is a verilog source code for priority encoder using if statement.
  3. 所属分类:VHDL-FPGA-Verilog

  1. 83

    0下载:
  2. 基于FPGA的83优先编码器源代码,赛林思比赛专用-Based on FPGA 83 priority encoder the source code, and the "special LinSi game
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3230
    • 提供者:rowling
  1. Desktop

    0下载:
  2. 四D触发器,最优先级编码器和加法器描述的VHDl文件-Four D flip-flop, the priority encoder and adder descr iption of the VHDl files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1860
    • 提供者:jact chen
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. BCD-youxianbianma

    0下载:
  2. 优先编码器,通过VHDL语言实现BCD优先编码的功能-Priority encoder BCD priority encoder function through VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:693
    • 提供者:victor
  1. project-1--2th

    0下载:
  2. A 3-bit priority encoder circuit basically converts the 3-bit input into a binary (2-bit) representation.
  3. 所属分类:Other systems

    • 发布日期:2017-12-09
    • 文件大小:206121
    • 提供者:Meg
  1. coder_83

    0下载:
  2. 8/3 优先编码器    输入信号:使用按键1、2、3、4、5、6、7、8。    按键1、2、3、4、5、6、7、8连接:PIO 0—PIO 7;(引脚号为:8、9、10、12、13、17、18、19)    使能信号:可设可不设,这里笔者不设置。    输出信号:数码管8。-8/3 priority encoder input signal: use keys 1, 2, 3, 4, 5, 6, 7, 8. Key 1,2,3,4,5,6,7,8 links: PIO 0-P
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:32520
    • 提供者:文鸿捷
  1. Experiment

    0下载:
  2. 可编程逻辑器件VHDL实现的3线-8线译码器-VHDL 3-8 priority encoder decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:55051
    • 提供者:alex
  1. WORK4

    0下载:
  2. 可编程逻辑器件实现VHDL8-3优先编码器-8-3 priority encoder decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:68002
    • 提供者:alex
« 1 2 34 5 »
搜珍网 www.dssz.com